1.5. Design Methodologies

In the preceding sections, we explained the “What” and “Why” of MPSoC architectures and presented the main challenges designers must face for the “How” to design an MPSoC. It is clear that many kinds of tools and specialized design methodologies are needed. Although advanced tools and methodologies exist today to solve partial problems, much remains to be done when considering heterogeneous MPSoC architectures as a whole. On this new design scenario, fast design time, higher level abstractions, predictability of results, and meeting design metrics are the main goals.

Fast design time is very import in light of typical applications for MPSoC architectures—game/network processors, high-definition video encoding, multimedia ...

Get Multiprocessor Systems-on-Chips now with the O’Reilly learning platform.

O’Reilly members experience books, live events, courses curated by job role, and more from O’Reilly and nearly 200 top publishers.