2.8.1Parametrisierung von Komponenten

Um Komponenten parametrisieren zu können werden in VHDL so genannte Generics“ verwendet. Der englische Begriff generic“ kann mit generisch“ übersetzt werden und bedeutet soviel wie in allgemein gültigem Sinne gebraucht“. Dies ist auch die Bedeutung in VHDL: Durch einen so genannten Generic wird eine Komponente allgemeiner verwendbar. Ein Generic ist ein Parameter für eine Komponente (Entity/Architecture) und wird in der Beschreibung der Komponente wie eine Konstante verwendet. Bei synthesefähigen Schaltungen werden Generics beispielsweise häufig verwendet, um die Bitbreite einer Komponente zu parametrisieren.

Listing 2.48: Parametrisierter VHDL-Code für UND-Funktion

Ein Generic wird im Deklarationsteil ...

Get FPGA Hardware-Entwurf, 4th Edition now with the O’Reilly learning platform.

O’Reilly members experience books, live events, courses curated by job role, and more from O’Reilly and nearly 200 top publishers.