PREFACE

The field-programmable gate array (FPGA) is a relatively new type of component for the construction of electronic systems, particularly those using digital, or more correctly logical, circuit principles. It consists of an array of functional blocks along with an interconnection network, and as the name implies, its configuration can be determined in the field, that is, at the point of application. The specific function of each block and the connections between blocks are prescribed by the user. For the most part we will be concerned with reprogrammable FPGAs in this text, since they offer more flexibility than fuse-programmable devices. This choice is not a matter of taste. Already the FPGA market has a wide range of architectures and alternative ways of controlling configurations. We do not dismiss fuse-programmable architectures, which clearly already provide fast, economical, and compact implementations for logic designs. But it is almost like comparing a ROM-based computer with the more-general RAM-based one. Moreover, this field is at such an early stage of development that no one yet knows the most appropriate design methodologies and run-time environments. The FPGA takes its place in the continuing evolution of very-large-scale integrated (VLSI) circuit technology toward denser and faster circuits. It already provides, for many applications, an adequate number of transistors in a single chip package for the functional blocks, switches for the routing network, and ...

Get Field-Programmable Gate Arrays: Reconfigurable Logic for Rapid Prototyping and Implementation of Digital Systems now with the O’Reilly learning platform.

O’Reilly members experience books, live events, courses curated by job role, and more from O’Reilly and nearly 200 top publishers.