Power-Related Tools

Luigi:Yes, there are many. And more problems arise as the semiconductor technology keeps shrinking. Power is a good example.

Power Estimation Tools

Luigi:Front-end designers use power calculation tools to estimate the power. Too much power will overheat the chip—we need to be sure we stay within the power budget early in the design. (Power is checked again in the back-end.) Also, power is a major trade-off factor with speed—faster transistors tend to use more power.
Nora:How about portable things such as my cell phone?

Low-Power Design Tools

Luigi:Portable personal electronics is a growing area. Battery life is critical in most of these products and is a major selling feature. Therefore, low power is now a primary design focus. ...

Get Essential Electronic Design Automation (EDA) now with the O’Reilly learning platform.

O’Reilly members experience books, live events, courses curated by job role, and more from O’Reilly and nearly 200 top publishers.